What are Interposers?

By Amy Goetzman | May 24, 2022

Meet the Connector: Interposers

Interposers, or interposer connectors, are compression-mounted interconnect devices that are positioned between boards or sockets to allow an electrical signal to pass through very quickly. They have a wider pitch than a traditional connector, thus enabling the easy rerouting of connections, and provide designers with a flexible, removeable interface. The wide pitch provides a much larger channel for electrical signals, reducing the amount of energy needed to transmit signals, minimizing resistance/capacitance (RC) delay, and shortening the distance between units in a system. They can be very thin, which enables them to serve in small packages. Some interposers feature spring pin technology. Interposers are often used in BGAs (ball grid arrays) or multichip modules. Optical interposers are also available.

Advanced Interconnections’ compact interposer assembly is shipped ready for use on existing PC boards, eliminating the need to change tin/lead solder profiles or subject other components on the PC board to higher processing temperatures.

Three materials are used for interposers: Silicon, glass, and organic materials. Silicon is a proven material and the most commonly used, although it presents thermal and cost challenges. Glass offers high density at a lower cost but this material has lower thermal conductivity and is prone to cracking in the manufacturing process. Organic materials have a lower pitch and less mechanical stability. Glass and organic interposers are still in a period of significant development.

The term interposer comes from the Latin word interpōnere, meaning “to put between.” 

Samtec’s Z-Ray High-Speed Interposer Connectors offer design flexibility, from custom heights to full custom geometries. This cost-saving removable interface between the IC package and main board is ideal for demanding applications.

Interposer Design Notes 

2.5D, also called interposer technology, integrates several electronic devices inside a single package by positioning them side-by-side on an interposer that serves as a base. The interposer is a foundational element of upcoming innovations in 2.5D and 3D packaging technologies.

Using an interposer is preferable to reballing lead-free BGA devices in RoHS-exempt applications, as reballing can introduce contamination, weakening the solder joint while also exposing the devices to extra reflow cycles.

Smiths Interconnect’s spring-loaded Microminiature Low Profile Interposer (MLPI Series), stocked by TTI Inc., is designed for demanding applications where space and height are limited but high performance is essential, in particular board-to-board stacking connections in defense electronics.

Silicon transposers are expensive and add $30-$100 to the cost of a chip, depending on its size. Costs will keep this interface limited to specific high-speed applications.

Interposer technology is in a period of intense innovation. Active interposer technologies, materials research, and evolving semiconductor designs will bring changes to this area in the years ahead. 

Markets, Sectors, and Applications

Military and Aerospace, Datacom, and any market that requires high-speed computing (networking, servers, ASICS, CPUs)

Airborn’s Z-Series interposer connectors are a solderless, one-piece contact system compressed between parallel printed wiring boards or a printed wiring board and other electronic components, to achieve reliable electrical connection.

Interposer Suppliers

Advanced Interconnections, Amphenol Communications Solutions, Airborn, Radiall, Samtec Inc., Smiths Interconnect, TE Connectivity

Interposer Related products:

Like this article? Check out our other Meet the Connector, Harsh Environment, and our Military/Aerospace Industry Page, and our 2022 Article Archive

Subscribe to our weekly e-newsletters, follow us on LinkedIn, Twitter, and Facebook, and check out our eBook archives for more applicable, expert-informed connectivity content.

Amy Goetzman
Get the Latest News
x